From 77f6162f1a1869caf4551c5ea64eee79fe036051 Mon Sep 17 00:00:00 2001 From: Kevin Glass Date: Wed, 29 Jun 2005 20:04:34 +0000 Subject: [PATCH] Initial controller input checkin. JInput native for win32 --- libs/win32/jinput-dxplugin.dll | Bin 0 -> 86849 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 libs/win32/jinput-dxplugin.dll diff --git a/libs/win32/jinput-dxplugin.dll b/libs/win32/jinput-dxplugin.dll new file mode 100644 index 0000000000000000000000000000000000000000..76e3fa61f71ef1ce1938e9a5295f3640a1fc652f GIT binary patch literal 86849 zcmeFa30zdw|NsBGh=w{QlUi03q{m%LNS*# zu|PAIOv!zB#07C}@Q7JQou)Bo-_LadvdAfaMD3A{ofUdUk)t28``P?i~ zkF3p@YKiF$YfI8fD|dbSbaEqWophV|4F)N{TAH@CHw*>3mROi{zAGDM>~{wP)+j3A zK}V64Q2B|BZBzuQ{`uOrZj`81bj#Pab)}rB+FuR^(rt|w*(?=NjknqS4?4^9H2I{# z0A-TNUlEquQDrZL0M4MmM7^ntA!cGsm+>(Z^eJ896OCq57oVgF#-v1jqN!~_tUl2c zKQ3OM+*UI=KBW)J8}Y<4GyfZXZ?nmil-LIWl!Z@HqA58kAwi!!Bt9`VX%gC{Gys(@ zt->v>!p&iJi~B>JWw5sx45S@$FF2?T7#%VQ?NU76@o1Lm{)Dr4K z0s}>@R*Ver5s9@SLxKZCG}^F10Xm%|BKrD@KH;HaShyxMTnY*gjR^M9C_xjfl}m}C zp`$|rwc3DC(I-40SQil4go;{CxWmc$wK{cwO_+3Is}czg2^WX@1V)4fM2b)3>k|^B z3lzgeNrflt9pURM@*+#ox%6b~vW(rDI(@liGNOt}Ycs1^z`yGNWjWP>U?u2QT|(ljRKi*A{-j{6 zRuGs%>uLoT#@*`zWrM1Po?(FptA&U^Zs%+R7N@U{V?1vnCD5fhus##oSCc=y0yGlD4Xs5W!znP21d?hI zNRR}|-;0}8OKh++HY{#YEwP@?*q(8#YKeW$8T&$7gZ#Sj?ke99fr{12n!6*ilJ3&<=S5VK7DEVi-_Ps!68F}p$myb zpy(Tp&;URGa0CTtwSgkS0<k!Bm|7KHsLKjVPa?F&#_!Lv0fdNu*LQLZL zE@7tR_{8yjo(viOdL&|EW3~E;@niK*DdK-B-#;p6W@gr2zJG7E^r@vLQnAULL1u@6 z|3z9)Hi48>sCmMe1buRCP4J)UKS)1iOj1m8EIQO)&}4mL%mn=){gm1g&&>Rf=?5j5 zQ=WEir1q_~gtZxfwO3y%AZyHO>n%>#f(>W(M3G&pD`Ctsr1hgC%&L6x5%s(>|GI#q zXM!=y5ce_3_oR4-+0DL0D`|CNT6C0Y(HhCAHLZr$7^jve)1sqH>xyf&R$2|MPEM^J zOpA^(t*^OOdJV1LeWVihW?FQVX&JfJ>>64&r&eF4MMs&|J6y|JLu-yx%Zq8zQKsd} zwdU5)3U_L0nHC*oTBque`@}6SmsHGd_I#(XD-))pOgN_wHJy=8({+{w#go?0-clp@ zGc7vGwBBV}?Btf!DA;bNRv^=&qfDzO&+Eq;d8IqGbWDqmGA)NobqRl|p%voP3TIk$ zlxY=kt%o(VUUX^=Wmt~@lujguLX`EVdOpA^(t=)puB|J&R>}KEM6n106bd(9t zr4@;>WtJf>m*qgwq?F_(wLx>HL`Rv@FlP=$&KyFWIjER09c99uC^j0aA(4FlnF1Q z9R8pj++U?)Q8Xz{bmq{ODbW$;`p$8#d@LpJN%Cel`$%WXGFZgQeDOXZ zt;58lI{T)6)XJ)M^sP<7LD>?-Cs`k3(mOqyX%#91>|aZ=7V%M9h00-mWRG&N6EN8`kNZ$tVVjGYl z-q*z$M`Rh|D2591=q*+Yi&&X9wGva>FkfV4+|hPf2Ky^Nu|n1?V7bVu;AVgPu&N5} z(kfI|@xB#lHaCa)z7>w5v_mR|+Ot;iz9sL#pRZa(yCtH+D%!0P6$N>2Qaibl14(>j z72T{}Ez(Mb88%a0tN6$PvRFW3QmZb$Rb`yn|c9LTC>)^5chifEQ39_LTc^wSO;|}pp3qca&}g$SW3yc z8|q|;XLNBc?de)6m8aFjnN}}KD^^O&D!R?_YTJ%dvxq0G;t5N7GG(smta|*9t~u8OlD9K6{R$>t;<;M(gQ&ynK<2DCCP89?RSQ_kwl`cJ8||CCnsAKnlTTqvU=|4~_nv+6 z<@asbziLPtFRh|m->68biRk*RQ@#nBM(K)t~qGKx+!?BghYZXh`P#CIn zm~T0H(!#-Ewo}RVEJshNRBWPSR{;%xVxoD1G-RbnV`guw=x&W@Y7r|f5%;ZPrA4f8 zj=5}vZZ1uU4s%6@ctK}RVFSHKhIqjup2-)BC~;Pk3hIsS>|#0-w}cHWR21h`Xc14O zO+A4rE!cH+BJPb&Sq6JuyEIgZN9o$9N%^QWDIYD!YYJUb0vlkZ!iJe>GNf<1rd7;l zCr)1I7PG~Btmt-D7CV)tg~M#G&iz)})LSsMOPhL2Fulska7*ed)9yOUFoTVi^i_K5 z)O&*Y9iC9^6A3x1aVzdLRil56B+8@N#c8K;wrz$uTW8Rbz6(uet`=uOq-MAkcY*XD z-;o!g+=HzQX6p=1Y5s0b%aI$s=o0kE7iUQAGov7{xpOA-(2pCZA8T^94D}Z_1^yQ^ z%@Oe$TgiEhWM7=p{9xjD~`KGEM==^woa2N7fI38 z%4Ab=m^4%9O82(baV(dy^}_&z0#83Tm)anevwkWimUtazCz|=2Xs>E+Suj7RyoZx z#2q?=t8;ZDo9wF=C2X=UyvZ&X`5MplhG3PqWTO8(6 zHeiw+1LaJ@hkWCl%cDkH%-1n+2q@!D=U3I!`>v8K9ZJK>=P}t=*1_Wd^W{U zI{9qUl45DDprYodo1CXHLtJlR^Ld82#=_>>e35SMK)yJG3Y;N+Wf5oRi?nQ`lF=I* z5ZQV1r}BkU`JBa!^NOODWQ)=?J}JNATtxl)W|qO;{D$+iU9hm;RNkZNe3V*QVpUUF zPqH+fr)4>-z6bArR;EkySc=}{VT?(RnV>i6lT(mlib*yh#gt?Oyju7&n{M)xGn-Xx zZV~PIVyV2X#U(oX@h(zBi%VE5i-)9UD7A=3FN*eybyeN73|9*-PF+0CDlXol8fOs~ zUYxM-a#ZQX35!_|uAl+Gc^ut4MG4Z>S7Xd~+C;bFe(9EFus68QT0@%O#ak9JlNrgB z)lU}-E|py?O}!4O_HC*7=Gv~rUVc@OPAot;CTbUG>==1NCT@~$|{ z_Z{Ykc0U&HQEd}T_Yc*6HmQ*05~*7MEqjyF{>PJfq31uJ%xAAY{bah6NnOK~W-TzZ z)}H3XnJY6i%SX{bOID7!LuWtL(RuOjsJ3s|<*cIE>hnxy zI_ox7=^pEqWw3kIOt+p@JY*5KSj8>efAs%D=`US*dg zp$TEji{4O{-75 zYt@ObN`)=k{hmmr3v;wEV}@2fZ0cmAU4FXuZ-+zjY_MMIo|e~vCQ%C3Wu*Jln=C_> zCEe?9hr`N_mh>Kf(+yE{l;*VQOadeZAX|nX0Zm}^9FI!eENO#Ma-jX8e z^T*FhpXr_*Q=@Wo8cR+vjVw2$RjA@3dS@Bz7do)3qI@WYjH8ev&JbS;d7nbII750+ zNCt(haE7#|kZcOcbcT?BCT<&rd?bbBr}uT90RG9!!uqh5Nv>PAlTP>|60;N?D0LC& zkP-1vD0(y31+b)hvSy-VTJI)}XANfJEYRgZsf#t$qfeH>QEK_UvTv4QWIz7jRQ8#| zdn?%3C(DrCC(BUOC(D4oSq9I(S%&DoS%%EMSq59*EW^{wG;Ojgt)v>K(-#Uy#51X7 zcAA);T8C=+15EyGD!aSlU&zmA^5;^^X8*hNW0?H;ROV;-7wLCp@)uIe*u?su%P%nb zi>YM~X@39D@^p8_5%E%L8C@{{LOzGdUrsGs@{jUXooj}8RB$ghJv~h(b3$-0*Zl{X zBEh}96HTs9t&YPpu7JAqH|^NPlEJ#K17&n|I$iuSLtdm6KCNeIz`$~&_B!YXa6k2ivzL@ zy$56&h78CuOdLS|QH9n2(7yFGRzr(eS=LJO5;|&__7Cj~xCGf7EDgCW`_TR#m-xO) zg1(ikGFTtlL%785Dhc`ql1seFC6-o6(6^0TqG7c}`TML8^tB?7u8>ZZMXW3z!J_H= zLmqvSNB3pX^hF_$-oT?h${P`!R2VC&s3XP$yH6+B)O1<7+YTq`C1}-?Z^6;QS)G>U1p?d8}tV7()o2hku zm$zP1s9vl>YJOPobg#$#n_THuq|W*a*#!-Jj%yU`dHA~qqF=Fkbq?^b&Nq*`D%fK} zd2t&{k$U-Ol0FWNi0ibR=T|zatkyQwTe5B<7)2`uPdRwm@4yx0xUtW-ZQioA*4b`ql{;v0phYbubkD7kT zGw!@kT2#Z~7haECaq^PO;FsH;R&Q91=+APSstXl-O2L`l3&JwSl&Ci}mgIH422PN3 zbW|UcoU=c8TrTJLM*41?y{J%~?SXZO8M5AJIP%)~&4udhwi0{fEfngkEK+BMNcBzK zxaDOzXSUt`W+#2Idi5HqedjCK{h__fs!c`e)w87doRggED7w#@Ruu4$1YiyLG!G*Vl-lw^q$9 zR?k^1wSVf;qTdcx7OT@>Ix&S~2Z49v(}kUi?=)TwuN{C0ZsvcQ20e>m3W zvu$Hf531j|af{sfUO7SEt1dkA-b+6m{k!FZJ?Au=7JU=Dc~kd#DF<@?jC0-QGv|#T z=7e}mEa>sTP-6ACq?z8f^wgAT4X+;vT^66dW{vMkx6fW^`{K9x(Vt9g+i3V{^|D)` z;kcN7_e_`T8Jj;m<-gjV<+q~qoWsjjOrPrAdV0vbx1-cy*ZOPl$JMa7_qzLT`MB6; zzM)BejL)L;4Q2X|*J>Z1nY-VA`M8%~y7q@-f{$YS@cL$Yze{5Z)!)1gkB#r$>aJ0+ zw}O2XtW~h6U|$6*_3_@Kj-P+Q^H-)fN})em!9fbXu66YMFriRAFBD$gdoEn5%wIF$ zm)~bj5A)HNs3;yhg#^3QkSDe!Aym zo}P~)zEsg3(-iWhneD%Fm+4+Zey|4vR zkE;Isz;^hRAJ^D8k5$O0epl~)pAUF_Y?1qKG@ilvfP(WBd{Dsy741J*!KtC9+aa3@ z)yvOf9ag{8#jAbEhF3Rp_ExY)!P(ZeYi=JdRPWSC^~?UL%{$*MEULbqaughWaO|9^ zOL_gX4eBMk_7a5)1 z(QWdlaxQgwKf4A`$|{v}_G>F2$vJveXpMrMW-`_g?U9UvF>3w7uwC=h% zy8UY{hm;knSDou{+4TUh=i&61tOH8a+f9ge>;C+K^grD+Gkcy^ThAlfZNYcj@b{XC z+1{ts%ZleTia9#Fr~cfnT{*$0)z(W={BJErE_H-+{vfP$(2FBatF2e0_;KEGxgT#W zQGfOaY#}QXhsMO7{%O@0lpa#^n>8@i@frVY-9E&B+^x)R*2`7FpT(_-Jk#KmI`bH(O?s~f$msg)#b8=u@iF(#6QvP`-R~P1>M7{2;vpqIkdz^Ty zSiSC)#M2b*IbFeN3Z9|hbOp~;a7^!44}bkfv3k!Ux?b*-&r-zCR`47JTNV6?f-@96 zSHbfXoT=dCnIHYU+r0Zj`B7TX27btkCf)9^) zFfA&$M7<|pYM;*)@yisPrQqcXe(=#B9eOV+QGd2p8Xvw;#DA&a90h-+;8hA)&#esHX)>?Uk#@?>hx=Qt*h#`hRupRifUrL&`rz(VteV|Fg@nD1Lov z{j=lx6&F{JS6db7t$029kLlhe>RB&H{W1T_#+5&vE>`c!kkZdl#MkL}=9xD767{T> zlKd`3dXw_*b^I*6M7`&@q`y}YzfZyY6>L**sbah>UO4-7sI5djtBaKXC$_sUY8x1W zbDkeI{KK6?D9V2>G$laTMbtG zFL`^9>;BS7$#cGK`*-w;e&T*z(t;Te>ZiMR&KvyYmPfw(^6qq)xAVt4@4OY-y6Mnm z{?qGseSCG-&u`7VDJ*FH>S^`#*Gm4J*e=SiV$+y46MIb$NN+INd(xfmb#d-WXS;p1mCFuzS0~t6S^c9q$&Y*KWZ& z*p~L6^o8qhG5#g$ubx46LA}@4c)aWO%a`rAe)Pz3U!}apxwPM%8S8ut)zgvyn@6+6 zoPZZ+|9Y-SZTUu;KYhk6(3LeQR$Itkt*d#x^|kS{PV6dHTjHhkCoA&9Z1>oyi@3hf ztiytbpKy-u^G)vg?#1f0)5w1Q&NM}#UwZLMr)*hyT@?D>2VT&A+ntwJtKd2c`TM?E z#}1z^R&O7UXt&VK&xs0oUj;*}ZT!Qjit=BwUu-$4g;!8+ z;gZLHI~F~6?RI*KecoBk%x&klr2B0v{9t#lm$%n%pxGi$ZR&Y`^MF5FwA!+B@RtL> znS6QarrqP`n$MoRHLimY@?GbTDy~;77#g?pcK>5<^a!+W{YcgC#qGL3*UcH!xaltM z`CW&7v~gYMZ}WfnY0e*Y&uF*KN5e)xA7Au&R@}4w>Sk+~e|q`Ze&)tAkCi9xd~Nfp z;MrZe{I;vK)%U*zr0o5<=`HW9DWA1Tz3gSPx_$EL&WY~^uIjZaGrjl3lOGv6FPMAe zdvW#tu;Q$lmtTF*Quk(9NmK32(O;h(XztoOpn1G~$E?=_GIcN4^*$T+$%jKv#H(&) zh+9wRzJ7h~wg$JSjQ?_Nv^8kMt!|SVdqwTac&l;tl#MS0WPTJhcGR8T+B17!?r?3x zGXXPoceAdY8LanA>3MV1i4yf!J*56Lf9qZ_gd+MRq}<@wd)VN?7x zn+$Cx?Yz6TWs~)LZY}-TE#ULHrknfQZo3XtUY(Hjqu;upgD%bMII@nQU>60~QE=Ly zpSPbD!0m$tA2m3<=+_?pU;O^@+U2+Fk4sm?2Yl5ouB~}`(5H=cHw~M=dF6JHdfk}3 zcumgHHs_X{NZC3=AwN^W(-ifauHgHPmST6KWdF4O6FmE;ZrGNli1%E7uj|OcMe4Qd z$e*@vLFli~pKE>YlzQD^T8}SXdDGi%_UNW}eAf0@-MrrIx_*%pI!W;_iH|db4QETpuKA|qWXW)zhSs~@1WnubPCQHd3xKS z7an9kUfb7}`PkJvb@KG?fyI+984S-48rSGopVXT_jY++F{i}CAN%uX{=%%VP?_ry#%Iw+83VZk0uWQ)5pX-KY?R~pg{mDQ{-!|$_v#dTP>YZH>{n=+f zjF_g-Pam2(q$06Uy->5JO`QYJwnwn*rA_fg>KyVX?6|hub7seeJ-;nd&;44`PaQtK z-ZEKwGnDBmxb)dC2YuA2sM`MS-{L_0yIYFY-@Z@%VOj8hYmfaWdrN8mOjGyIU6fj) z&bcSqPtz5ww68p;g}KbL6sbQiLUuuRV$bj1xZd)&fh$z%vs0vV-!5*j&_(leyoCLYzzE+LYvd- zxyxxj&~8XquxIL&{qOj%2wJx^D)z@-pP{RQQ}1Ypb(Xc)GzCvraGHW`53b)Wna$gO z&yn*(B4y>-tKd}kGvUpyboc-2Wz)QrmD8q7Q*f!vgq6R_%5z=8Hxztd!M`c^rh;!N zxKhC$(~~3mp62J{7e)N93XbmBGAa8kmyc1fQNgJSo~GdG3Qkk7M~m<#-RJW5Zl&PX z3T~s|whC^i;MWw~Ucns{{JMhQP;f^D)BV+Sb2Zxnk!~yyfK+3aK^{)=&(QM?sWrpt zX{?5{g`zB+lF$L5`{A;uad`@-tr_W#;OH4y2KuU*UXFG}m05;NwrwH3A}qJr?S-_J z9DQXhJ!6@ZJvYl>bxSWWyRuE(+^x+hB*WX)8tS@|KBkwL%gS%c_KV9|sLwK_J#?5} zPq$ePnqSCwZJRwR%V2M~$>DJB1(#uZ4UVSENpO()_ww(p&6eo13|(#MC8p!MC^Tat zysRUqyQW_@KPcakN%9dnU(L-jSZ=4=Os-J}Ex$+Yq?%b8QE2&eR^CW!kXx8FN@X>> zzkM;qqvrk(R*kFW7a`9oq#bY*T;9Hz{L_e0Q3o?7R_zZV-M2~m(a|oKRh!Y#Ms~DQ z-WLvsqvi&4Zw3Z<`}z0`>E7*4(f`e`fq?_x^b7Y7eKRN^*l$SpZj_!*m!r$fGB|Xs z?G*dTMRc`UZtr{+z@s77scyf1LwCbRxLJeT^1*Is_HxyY%`)VBxs{I2GURyB&UoHU zt<4V2%`)WJs3``wuxOg+cv6I>L%yaH-8!Cgl+IVP6LYf+`5LwdpGD(o8Qf!Z)D!tz zZbyctRd`vOQ5n-qOwVTMAF?Kqw#~?~ji#GQ=y|OS^NyTDqq7W=7V%2X(b4owY-!F3 z_I@j;h`rl$&an52oD1x|GUv)@dT?-uMx)W>i+iLuoAh=_dOIq;osiy&q_;ElW)bh( zKcvSN4ynea+e~z;8hhGSXMc_EV>#q*Ihf(&YH3L&U+I;ZWytVxTN$01Whm?Ia5$v> z1?l)(v-DcjLR-p>B4-x=NkGA$YEx9>FRznERViA`cU_U49NOEUBiEk$&0=zJWUc9ZUb470yP<++r0$o=g~^X2l(%Kl}!9hO$% z-}Q2axWnK@&!IY-&_@7vQC)_m+t@W^@}TQ0^}}X6G$yrBFmTHKv_8Gf4QY4DM+FD# zA1^Pr(_^AlHxYB1Yxa@MyFhqHYmEVt=$7F8A(_U>-+c4Z%$TD;kXE;hG#tD@*x z_J1oU-JfKI{K0o?Dm@10C@P>Wfuu2;i_vZ1*3>N8U7;xLkef^Y5$~uYQc) zno73MX-eHJ|^pM@pYnVB1MErlCzGaHh41%7ruSrJ$ z(*i@)mUBDecARPdE!AtBX(KLGd(It*J8*uT_;t>25Wm5>BXLL0G>5A?aekBdP0pQ( zJ9F+r+=Vl35u)7&D#BXt?b)Kp>=eLR9 z=KK!vJDmFv_u<@^xG(2^#Qiw;C+^RgwuM#=;7ohXtGqaCh&7zOiM=`d5c_b}5^Fh& z#3E;3Vqea*?Yqj4Gi`yc^5+~t9Ke}&v{nt|JcxJ@=Ro2>&OyXMoP&vjIfoF3a3*_6 zrQDw6XM;vt-e5)b7(jCdGl+HPJoobw3c z5u8U7kK`Oh9L0GQ@hHxtiAQseCXVJz``xQzIFBJ7!+9+6SkAG;v7Gh9dd}mB$8jD{ zJf1V{Zlj9h98Vn2nT%DHf%Ch>?{ZEcPT)L&cmn4{;zZ6##7Ug#Ck<3a&hHVw$2ple znKNzluS(%ezayYBaW)g1IZq^>$axa+B+iqGCv%=cJcTp;Jb>zb&L0qe!1+Vs4>?aI zp33JiC;pr>JsqZ6#yN{P zi!*Khq*~7T3*s+0lNqP_k~8g{p~~h=5A3LNIMZWmsui4960hV;J|)#xoL3RA;`}x7 z*PK@qujWh-?5oyrUQ4`|^E%>noM{ti)i<2!aemc$&fgM$%XtIw2F@FaH*%(pj8wUt zza##R^Csd=oHrA1=1dQ!sBawY>swTm-7 zU!&U1c@Oa(&U=aXa^6S0k25(kRQoy8))p!o=L5tCIMbGKsyxmIi4SrE}pPM>x}OPpI-a({p61qnrzf3pmqH(5Q}arVYAP$2rpzn<}+8q+*HM!ONdK2pC&%dnYNQto#A|z_$=ph#OFAlCqB=a_MuZ<;Czwz zBIirQmpET0zRa0698+E4OiyU3zUO?E_$udX#Md~})_1B>&ew^rbG|`*gEMVWr@G0R z_RLe=;(VL^?)-uTT~A@ z|3Ul*=Rb-6{}T#`&P!xzLhbvZ)MEvTNyL^R>sV}l`*q# zWz6hb88iD<#>~EzF|%)F%{}T#`&P!xzLhbvZ)MEvTNyL^ zR>sV}l`*q#Wz6hb88iD<#>~EzF|%)F%A%j`|MXuP|M__Se{=t(7xT}^ z%FFySGG_i688iQkjG2E%#>_t>W9FZcG4s#JnE7X9%=|MlX8su&GyjZ?nSVyc%s(Sz z=AV%<^Uuhb`DbLz{4+9U{uvoF|BQ^8e@4d4KO1&&ZhhXJpL$GcsoW85uMG zjEtFoM#juPBV*>Dkumen$e8(OWX$|CGG_i688iQkjG2E%#>_t>W9FZcG4s#JnE7X9 z%=|MlX8su&GyjZ?nSVyc%s(Sz=AV%<^Uuhb`DbLz{4+9U{uvoF|BQ^8e@4d4KO1&&ZhhXJpL$GcsoW85uMGjEtFoM#juPBV*>Dkumen$e8(OWX$|CGG_i688iQk zjG2E%#>_t>W9FZcG4s#JnE7X9%=|MlX8su&GyjZ?nSVyc%s(Sz=AV%<^Uuhb`DbLz z{4+9U{uvoF|BQ^8e@4d4KO1&&ZhhXJpL$GcsoW85uMGjEtFoM#juPBV*>D zkumenIGNTjdVHaurP5zcDru8@ZPpX*K-{cReVp@Nbf1`?N{Z0OgDz35qb^+t+ z^|uRH{^TEE@^z&A$=ivIS|J{rI z_A-E(TiNY@XyYRNcgO~|tO2~pA!!6$jqH00xETR=Bhb_cR0aU<8o)yX*ffBVeiYaX z$n*lDH9(OUkV*!R2FTO^*2E2lSY%)xO0plRRJs8jh1GXR_ zGYBvS1C;}TqJe<>Aiy&i$R+tfKy(mb8wePKfYczMbRdu$1Vocg5a2<13<8RRfNYAV zut9*)8z`kZ)4LX^)B>g6K&3ZOYJprWkgWy0w17ADZ9pDiHc!mI_A%IsDP#FcJ1_GIZfM*ck83-5y0k1$H zo8E%}_aLAs5GV}Wa5%9#Md26i`G)0)>-Y2w)5WQmL#Xfuaza2WadE zyy&0$YdGLReK#ENi~w{IKr|UP5kO@)V2l7#sb7Qx?i5aaITVNv1&pCUDj7|r8xH8m zKnerg!vK#kz>_|Q1KD9fF3E-i(P4m%bi;tuFd#DwC=CU&LjhX=P!s@^(mRbap+He6 z;1LdFh6B0bfL9ox3j@5ufyz*zGz_Q=1B?_;X@>ztVL&$JMKW}rhXL+%%?$%GhXL8c zfZSm~>M+1_7~nMw&CrR#PC;57o!(RefhFpdCHM*!|4fZP#)Z3Iv{0;nV- zZ6x4M=X?Z^Jpw2qz2QLga3FO!U>pv3i~uqR0Up$ssVxQr*)&EC1}bUH84P$(eQ1me z1d4Qk7a6G3&viiVV4#!?SZa^KK;~e;HW`c!mNx%7f%- ze55dv9}IX60&L!ZyEowB4S0G3UfzJt8;GXyj>da(YSGvt0`Bxr9J0;!RJF%s~M1iT^v9gPE#Kz1aMOL0ShR2q9ohOW&afIAt^ zLx56}r)zTv5IqFQq_K*|CSM@h7x3~0biRPQFW~75c=!UDG#8K_wP_E4Zp^*Z4(Qbh zc-#Z%-wU|Z3s~|NaHBU+G5~Pz4&)95vIhb!j6icE;9&$h7=gAl*7*TOKOoy5h$g38 z01)jDr1}Gu^zR3h`T_L2jHv-YDzPt+>kkxByf0uQ=bb;`NqSUn>gWD|R{-D{0C>>+ z8vxj-4t_w9FOcmA*yyt#kn0ENNZt>~q_lkjBc} z$S&aOF5s_SK=a*z*KT0sZeY@G;Pc(U_T9jl-N28#frfhk&pp7vJwW^(AaxIrwFlU| z2PoVFl6cuAkM;v|_XDf;1N-&^#ruI< z`+*1hfx0%p-3GjB13KD(0X87q21MI{2{vGg4VY;IvTVS58<1xMN^QUc8_@Uw(Bc5l z@c_{005I?XF#G@zcL11l0GN3ISabkbcL3OV062aCxOxD1cmQae2ei)veDZ+kJRms_ zn2`r8$pdoofc1I6wmcv&4>+C&oXZ2Q<^i|!fQmfe*F50wJfPk|Kz$H+;ULiRAkgL@ z(BmNBbr8@U1oQ`iNe6*B2Z65-0y_@^#|{El4+6g&1nM6G+8zS>9|DFQ0!)X1xrcx? zhk&DpfFBM44Gsex4g>uU1H%pj=EFedVPM^1;P7GK(qZ5y`a=5%pgsb$KLYeU0z@7G zOhj{q;`1D^Rn-+UkNU~@ijBp)cv2Y$*2 z9_0hi9R)fa1$>SIx}(6Tqrmv1!23smIY)udj{<9t0tb!)myZIEjsh53xG8Rz|I2TZ~;(U0DNBn+$#Va1wfNyK+9vm>&Jke#{kVSV8}5b?ildF zF<{;?VEHlN+hf4KW5B6n!1ZImy<@w^j{_%;16Pg%KOF}i9|zPYfQ~1Cw@(26Cx9U*fN>`P^9f+y31ICBVDAZ_ zH#GaWSy57&uxCTrURxEC!mC0G&zzZ3!^41V||XGE0DUCBWel;93dra|z&b8fbbN z=zJRRISmXy4Wyg~=AH&tp9T(`1}>cj9H)U7&j4M{00YkeF=v1&XMoHzz_(|Bf-}IK zGeDiQK=ZRe&$B?_Ss?Z-F!e03_$;vbEKqzFxN{bGbQWlG4(M_ZnTsRNhI}g;o z0JOLObh`iqT>#=P0Mjl2pI!jIxd0rw09?2L+`9lga}jv;BGB(5F!CZW%fBRz}MG-E!Tk~*MaY^0}rmVdpywo2B5hC48H*+-2i6X0J3fXTW? zZX|&K7u10(>Y^T=fg9?h0UAPuMtByD;SM#PLlZPbGdzzM&>Sz~CA^FlXo*+wDm>5% ztq?M^caWnh(kOK zcozwnfJ7w0i1(0;6qsPfL`=eDOu_s303Tv1J_6muIStd1h8al5Ow7V;STF}xe1Z(j z#XMwUK0d_)EW{!##%EZ9rT84nkcH*=0$(BN)aR`TT1o=3M0vy9}oWMz(LLrJ!j1rv2 z8JxvAoW})R#3fwD6?~7YxQ0?(#|_-XE!@T(+=U%w_yOgpz>oL|_fUzS@e6*%ef);s z@c<9;2mZugc!a<47!E)X1Q(%>;40J=>Iu&XZbE&bfzVJ;35|qjg~o!rpcbAJng~sW zX2SEr3qo_@Md2mkWub-8Qg}spRqzm639W@TLR+Do@S4zG=peif!dpUb;cej^p^wm4=qL0S1_)k)M(`GV1g#(nzJj0NF9Zk!g+W4~ z5F`W(A%adAEQAVSLbwniL<&QMp~5g>xG+K(DMSgQgwaB@5F?Bc#tN~5UKl5g7vh9? z!63XVBnT6PL?KBq3hxQYLW*D#%)&%rk}z4ABD^nrAbcoH6+RL^7E*<2!gL``m?5MK zGlf~gY{4ST5v;-|LWVF`m?vZk^My}^1;RpMk+4|!Ojsf;6+Rc130cB&;S1qQAzR21 zRtPJFuY^^?*TQOHjj&c&CwwEU7rqrX2pff5;X7fIuvyq5Y!$W%+l3v%PGOg@Ti7G) z74`}H1)Fd{$P*3VxdGhEu0a~3g?9L!Uf@? za7nlQdLGp35^XZZ7p*8n`rcQMoj7dDf+| zi@S^3-?QkDGgcplRr=Ygh=%- zq(2cO{kFMJU|4{5w2zc%cWr3UlOdYK_z5wl_@u;thzir2o{aTLh&LMbv61m9<``-L zMNZYVQiS`)jMYCW?MuJ$^pxO$M3X+*n3ND>N}@(pq!$=JKCYJ1vEYB!42m)7ljCbE zdsWPT(ATHLJy{+K^)|;R#IhcsC@e+(bE3NBr18o6l$7|1`r6YEi;Ia(n)GD8;rhuY zb8;UxLiMhEDI zIz=Q73ek#HomC166GH>Fu0Hu`k@|$B*eUdr&gKL*wmnTQ$rL~CX>n%L)9Rt4U!?O%N;D-W zB|Jr2r%xV7zbKtpJ=n=A7B)6H-e`J?W|)5b1bt%7p2%9(86o{fsx%DB)0EW0ljFya ze`>pg>EC-=uJPj&bxA2tEtF{rzhK#wCKZW-pO)g3L{lv%J8VjdIQc0m;ra>2q^HHj zPtb?OkAF(+keJ#!oh~6}N{yDHfZm$eSQ=oTjPOZ_S2jFT2uvC?CSD(_F&Y!%$I7Ql zsU@mqraUbHonCRJFqJTU^2A!gDYqv}9hnqAR{xarS=>`nV{zg7l$!l1EXk}Ge^^M( zp^F9i#296>id12FL@g@5=7a>T*_fc846Qa&7d}iUj`j`>2^ka~DvCVF$0s1PSI=HN zKpgEKD*F15j-+Gl!GX%)z}lsyV41YeKOitb7X~exko|%J68&S6V<*KV>#Ksoo(Kwx zNi>g(8B5hqP6^OD1$D_uvF5R+Y7UE;U`)^l=_e#5PYH{EzbZW`n9lQ~mEF^|$!3dPU;lNv4c_>H6X=%{*)^#MuIg;caB1kMU5CYS#fYGwVWWox1ZzWvj1JZWiSX4bjT&F=lNOC{ zfOfPuEnCFZY%4%fDFsTQ6)lBOJ{2_lA&3XG(C|?x zrO*ddr6mt2P4)ORrJ~CurVJo>V*h6T5?jH=?}}+SIyOP4tRaBh`%$YYkg%*&tC^+dgq!}QVW@_^w&UNqG;7l z=;`A4-GD?slxEIchY`T4}y*S>ALkyX-HT z8s13OQ^S5)7gIx1x`-NNQUa3#94o4@Tv7Mc$`yT8iuV*JGC6-W0rjvAP3gsn-E>41Za{}~{^_dtw8=_kV!m!l z{k9s$@rubjQ-MQ3xIZdj_NiLMHiSlGYQ81{ptj&3J=tp7I&D4VSbR&yWIK{grz%E6 zaFbQ|WLrp9M}^AWV6cR{@Vr6IbefjIf81ryH=0)I1pjr^6dErhOA7UzS+)%Ix7})( z>hD(5R4nG$_(XOnn_^2C(={<|DSSMaOUemNN^+TGak7v}j-`)ek`tUeQX!uy42>6Z z$+47B9a37%45I<#?QnTvJ;!Ys%AATEp*f?`G@W6`wojU)=1H^SHQ+^x3V8KZ(^4?1 zb;Y_D=H{DoRYe|!(-V8Sa+1Icv0TBtXi}MFQ=ZiB@&0))`)3xN z!gM4(b;M}!Fq#Kx&CHmN_nR-N-tQs1ru@noO~*Q2Y@&1HqF`}3_g9|&ESR;j=}g#} z+DQ!8xYZ=Q*m7nt0_qkNeF%yttQtj$1KCtsOqiv)nst9mg3`yVvT5TM0Amt{Rdf5t zcIuI|ASQl=>CXuL*+YNE=uecVh$s3=Qmx|~l!$N{@37#3!+e}@ppPF8^l`<3J`OUc z%~Gprk^vUvMv|kv3FRVNE1RczeIG6!R3 z#ZnkP(iWWaRm=Iv6mmWSh1}g%tt~2}T5k(CXWD}scFQUGB`OlpyjRTJZd%bri!wHa%6;}S9tSfhM4 zy#YGH6pJ^jco~4G7=t8RWy{VR&AC8b<}fQPv+BXsf`MH(+FxJUI0TEtnMkmp&8p1E zxNfMwi7YV7*;=FNwAhJ&ztXVT6h|k45_Ztyhn@x126#A_j2Yvb2Uh|F@<*+P%uB{^0C5-+Oy7z*cp=2h94pM0g-gjpt72g^r+Qnn!c?G zDM_6(t7bUCdTFC+1nMHNV9uD1i3ja|Oqp7% z8eFOvkqy8_<0L6M+8_?`(MH~}>!#D3#~V?MoJ&1bu}df5F5{%3*UYAVAO2(3sG1F( z-4_!fM&|NxUraY$_8RBau5MQ^XEP$Hc?QbK*OQ2)n?0bBn;PmCQ;;4vj$H1w_&20`sBj@QxRHQLn2$>w3H*O@7B0Y_}RVj8soAYAr9 zDWhsShVmQt!G+-?K}b>s*-p8kqRE^_|JJC8n{qA-LlxUV4ON)u7aF}9c)u}kH!Nn= zDY#%a`K=NPo^Xs>11}NrAf&JKm|3w)R#TBUJ1cilu-KMaW*t?bGq(DxV%4}|b=&dC z7yX%RHqBbg$0MtlM+0zNl`I1na4qs7nWXh(D9o!RA<61-yoclC+%0t+L+P{xr>&V8 zJdMC{4Wi6cEq0@FgW|k>%52lBST{?pis5X~id}1T1;qy`dOE6r@P4RQ!EDU2yRQKV zB2qL5E6Q%6(?lDL;jOZYuet~%rI^Cf`aHVDx}cQ!Z<)G0$@T4sG3x=@0sM$jE1Sxc zDmL+WLDu&w3tsSK0S$Gaz+Etv602&K+svU!M^TSsW(n{AGES$4vZI+1lU*Cs?+u0W zj#X=px0>}~ZCN27u{i~hO8!9D;ldW0JY`T%}xoa$&shvdF zSg4DOjk$|xm{XxlJ`@#alFZ$SCEXVJn0}vq{64ePEOv?J+!G_s!)7P7G!8M^S0K(kKf1m~E9gu8hZZ zKBVdQRRx6yNTcpylWps*(vW3Vo{oW@qKUVrnKeV%+qDeCZ3$SVl0S-?=`j^6n$08g zO_MeW>GvI%Kx|{EZNi$%6PGgMOc6}4e%}mdR&CZhWYze&uaJy+f8l7Cj)!x_kPfwd=lW_*8x7Fz~25Oz&?Dqc!Mvh zl#QMNm^uScJ_FEH;4eJ`@ZcGMht2@J`wYN`&H${O0r>10fG?c^_{JH4b*27K&H!{E zU3?%}eE)ywDA8-Fsq8~%w`o_C0Ivenc>d#27&e>u&oQHFCIN2Wgf_)4w<;#CfE9%8 z16?myDt660ZQ*-?e+atiI5m8V5Pr7FIF8pecW$1Usk9pS93t%BJmZ)qz_T`2jY`GF zCl_IQbJeVts`y+Xl5yslF zO9+DZZ<3~*-OS(>*8$3G7aC)Kt+Z_&$bUyOg|WkakZ)m!L2(c9>K zdGD)w-_!fS-p}_w*8Bb5AM|$g?dS{j_4XC|?(J*zJ-6>weXsBPVBbgk9_xF&?+1NP z_3emv#(U$*_`UJt@#n^WEB^ZUL-D_ee+_*(ow<3EdE+yAM7#|FMSaAx2?2Y!N& zEO!D-Y{J}0S82Cu2eq(vL>tkbqaD}gwA0#eXn&|ZsJ&5pSo?tX8SV4hKWN|3zO6l_ zT@czDx-Qfi>I(IR21EJKu~0Si{LpWO9t^!9^rxZshdvzoeCY2(Uk-gM^!?BU;fupp zgl`Pr9PSGbgfrpE@Uz18a4Y=W@b89S8GdW{UE%kI9}WL?_^aXn8-61EgYZwoS4FOk z+z`1V5{ZmNa*<-B6tN-;k;TZXBkzp7H}b*AUq$|R9BR`B>8oe@lZS>aY9noZT zC^{N_cJz33KKeV+-;e%j^zG4yqaTZYGWwAo=59eZZ%b+Na_eiS>{JJtJ!-jDbGtan%6gMAmpFX;dM{^kDY;ZukI{BSCv zC(Oh`;`NC)CqA0^a^jy8KTcdTuxp@apg3^PKyzSm;MD`~8~EJ7-w%9m03Ykd_Xf9W z9ol{^t=*;li`Er-c4#5=Q0N8WH-tYMHX`qeTo_G7KOX%`G~M-zuD#ta?|xhN4Lz-% zf9#3G_V?C$$NP-FM&EDuy{7LSY)tpX_s0*$wRkihi}%L|;BF7_6*TWF$h;&A@$Y3NNnU2(%CN4#mBP)^B$XaAQ0@03WXH<(0 zM)T3>Xg#_RU5qY8m!m7u)#zGuJqleNU7cN8*I-w^Yr3o6wa~TLwbZrTwbHfPwbr%X z1>GIpo!wgZV0XTIy1U-J(7o8b)VJZ@zcB zx8A$ZyV$$byWG3dyV|?fyWR_Z9etgBTHjz_zHhp(-nY=V*tgWT+_%!V+PBuX-UsoH zcxPOT561KH>3BW95MPWh#h2qN@zwZRd_4~R9sQmCTK`~wzJI#E-oMbl*uT`j+`rPl z+P~Jn-VcX64tE~b4i6sAAD%v3KfG{w@$k~&<-;q7R}ZfpUOx^n4re>Mv&N5;>d0+`)Yu2f1+ zGjxc#lEK(}~Wa@dZR;F;G!elVvft$02>Bd45a$}fJBXM6~h^UU1xLnVBE zQ~B_5zn`6jXZ&!r``86?Ebzm(#y@g}3c=U1`?sqQd_Q@LKrjQ*R|sU!CV0-)#c;R|2_oGr;EEY8_0*+i{Z$dBJ9Y`aTu%$Yy|_5y%fW1AOUb zwa%Se01~&TkjfT-uMo&vxA0f**eqc3`T7=sukBY`-EaZGC+<)o6Bht{;Z7Cudlvvq zYX||r?6d%Hx`4l%iI6RuFypBAaY_LH=+@~Ju#O7>E}GzYwrs-L=6$l&v)nqe)tfE^ z*fl9zvGnZjCtE%4){(8Qc?Q5IU0Urq9CPc)R=@cS{?;b%S9=aW<<^m{p7Cn{r(If@ zuhsh`he@}NY<23_04Cg4m_^q6WUJS?b!4l*_%;3tDd(_#t8ck=WUH$$;%|kXt<|tw zN47e75q~|Ex5B)*-X}SHwOdEFdf!F-ZB^b1!%O%t{>fHx$r67)?Ts@r*A>qu}^FMV>u~?LzvNA?}xtmpFr zy(-K!?|s3(qcNhBN^G4BvAx3uST-pW%5F@kseF$mcHRu`B$=A^&(Wz^6%_C$R5% z>?!W8@Y}MLzYfnw2frJ)@;AY~I?=8CRdx;uO6<&LfSXxc`ALbn9B#rr9{qw6!?lfm zF0I1TkIt&>X}b{=YXRojWAbTNN31(8Ew>jgW|wCdkF@+fbJF@rNEhZnVK!4jqL^if zK)PS*t)7B9zDkeGogejPfI1=}w4#_Jh(NmE?bQ*~@xA=>r+zv>9rL#kT2Zw81k(K> zua2OOukN2e^-6#`W}6|jqL`nFK)N3cP{;fN=TH540qPfdnEC1%#b^elzT(vpeaFlO z=TH4b0qPwdWiSwsk4^YR9N`zJvbBYm2 z_d_0avA!R0SH_Kfb9E`|6l8Zo@i4KDo2V=U348DCQO0kY_HRu3d7JH|~4SqST*F)PKY;(68VKo@E_e zl9=VH*R@~rBOy`DcE)-kA>AEbE1^-C#pV3x#X}w*A&r9p>X=)NQs3vb64Wt&%=uG) zy@y9o-xr{cC+U>>PrN!p>z;EKt&=-w509Lc3N6tK%z(ps(Qd{GAN!XzD=`By*UXJ~ z(4DfU8*641Gv#qSZDy{J&r8lCpI<@Wqn|z-&q5RMv|_`4@j5+km-=&-S?P}HdCkfR ziCNCLF3f08^e~DMGXm*uN}gS*V!oyGpBJM6>X>hk(2B~an9E`JRDe2WVLE^6BLV7| z{g6_}C?A1zj|Qk?{-^V&o)1vR+=_%&6r-vH(p~nb3!Q(>S#)071g{s;CMZ1>HUTqO zv0mW+eBUqICZHu?{W^_Aeh~^RP;N@-m*S%&X&E#`BwTh|Vv^iin5o*Y92i&_N{scEpoXF@N$hehTjK{CAh} z>}(#bZ(RnECprd~^Q@mrG=7S$h~&3l!E@Ppc%oMT z0GX%bR{$)MnKE+)xE?I0wQvQ%(ts-Y*CFJn3i-elJa4B*>o2e1SxG&}V^{EeeIDfN zSclBG|F{C6gYdHrhlXfEtb^w_^ze)#L`35`&-Zo!ERdegbpUjdc`@GsuqIA0d8XIt~R)|fw{Yrp! zB8}{o0MbLl`!@WVIgifCneyx_0qUfuHN;FT(!!MhGA_ZXzXE9yt$X8@03Ad#KZw-H zDF5x1z^2MmPf08BuU7)B60N)NDu9(gH-wv=$bpH130CMNc zC6?F@P$znb_nt7vribUmcAlNqgS=on&pqrxUb!7WBYl6zc7Q>`;m5ZFtP_cS74Z;S zKfqSRl3acbORKAl^3H1jmWafn*8uDwmMwV=z#5r5&%6epPDXk58h~{&It$kTER$Zm z8SxOS{_$&g?q09&U$}<5x_DB4=Q~Kt^{nvwFT_K}bn6a) zPD1O39RPVE!>%1XL%D}}Xa~;`>p{vpcvf!@vao~am-QfjumeDzm2f#PVJk9IK8*Yj z4!^jA=VSNq{M!zm@z;ZF+R5{YdyrjtVN&dOI4x}_JBn2Fux}^8Dv@DfC(ncH;VJC| zm?rZ1jhz5%WYv3cC%_7^eh=^DH%*Z;r~a{>Jd?SH`LUfmKeGq<9`a1Qoh{b^bP&wD zuH#why;kAt09MIty6ZZCbz&2qgLsH$&R@r~jdMKgFTHe~Nz7YiUV+zViI?)u>j0Jr zXNy7ILapfAKDWWiqC3Ma;w=KDvwNw)QZ8 z895|g)Ax}&Sx>j%Ew>I(#%t#d0BfWd{WkzC6Kh;VNJizbdINw)WcX6VL&o%N*oyG{ znHzY{bdTq+-vF>gX#E$qN~*NB?FLvQbt1cYE^rUe*lwO-+k>3I3y-8d(b^|Puo_=UIz&g>5zuOIvC;j^2Zh#dcjf-yt7$lZ<&y4^b1W)8ffK@V^ z2C)u-JnKe)I>BS#2#_bVUUnnEB5Cy|q)u$hpWO(sXC zaHB@tCj53MzzFd!{-_gRf#~7;5Hs0(exeiLRU|U7+6nGC5y$+EPJj*~=O1?hbP{X4 zeGkti@5ylA9-b{-=oruM00&7g4(|b2BAPI^hv%jD@XYK1SRvNtd3yllnfPYV#UJPL zJ07ULrii?~o%HK>_W9-R08w;vRqwGN$W$_}@i% zqjS+-faz`Oc-^=cV1;Prp}hckV%d)D1z06IHnA5#-T>s(Eo?{4g{03qsd)URB0G5e--g*O{`}fz$~O}Id zyA@!N$obE11(fiR@Bsg-Yj1w-J^+v>x^d_LKqrw;<^Vtkk-UBYV1dkw#sPpq z!q4v=09Ya#_0R!;6+-KM2LKichaWuvutu!k=dcy=Ql3D}M9xng0GM{|v#y)9ve?IY zYtyy20}PTeJ#ag~GSQaw?EvzOo{#CT-_BHDky!I~fJGwb7u^nkC+VJ4e;->BX}t4x zfE6-=pTs&us*htGb{kXr*x$JwVBO_emrsf?e?!*Ue9(V(({T_$BU*RUL4Z}_6?7j2 zSR*rT99=Im7PHuj*p?R_1Xw3C?u`clL4Z1$1>eG6 zka_xyJNUftq`LbKfKGSZaaV$ms_>hHQW|}C04x#hJ$eVgGO;$#x&vUH=wbB^aQ}XX z_u@r&;J9}vyT3Qw0gxwp_@O%hI*6`*0U>1Ezm0f^*ZpIxLv~x8hq%}2?S&5=0?^3l zqz?hCkr_922w;_ra^(=fH0kL}4*@I^4S(nmK;4xgevcl)XGYXrX^2LK_cLFTA>xIrA)DibH<(MADIz$ik zI{_95&!-VW`n7l`fJSunt#<;f5FPu=I|0@Ro-f`But@s;-8%u63FgfjKNa$J3cEFc zIvLYm4Pcr0hsW@5R*1xAHGn}fD_^1kbdpu(kMZ3P((3JqnOKrfXaMVk!^e?BG7El$ zcnIXu5I`rvG&V<$CS+w#25fiS=tu}Il4 zz_P2)_#GEk-Vz#17Z9a|Bt zYeWDvGF~r>003$A`Ut=h(XsbO00zk%{Cou58&jM^j9@L2IzL2y$d2QxD8MwKj_17^ zsdG5W_uXDxv=9YYA^hAI1;`UiJCDb6M23HWw20Qd8CwzR??vjauCh_ij~^Q)w(gNA zKnKy)&qVgeSylDXXK2AC!*?2EerI>{>hP&dFTkGS_zup6|Ol+^+1E7%+d~pxJDw&mU>;YIIt=@yQTx)~hUm<4Fudnuidta2# zrf(r;VmCI&0M^M^>_iBe-H{l8=8ldo-lpS!Ga}dJ@fg4=(cV%FV1bO_^AR)Y7sfW^ z--&Y$-x>qR6TjsX*ox@SKg9qBNiXn5!wP|H>IGOLc&_XP(8w&<+Y9h)qN{gcE5hMu zFTgaJ7gjI0H&Hpy5A*^o6aD$4UVue{=L3j`Xzyox*{~_QlJE5b$lHb-^96kXi)57d z_5rMr@#^gZSSFt5Gy4D*NSz8oh%}zx2hc%A8SgJFxK<0lH}nAjsq^PZozVK*K7ds+ zFCOm$z+)He0q@0k5D)3a#c_aj!p{wHfI68w-En|LGVbGXfN6rq!d9fGFN_1Mk+FDF z9AK5q!H*zj(&`_OA7WR(8wXe*v^MtxEM2MgYezqT{M!oN(@;M^Ct16*{Q!AFeXbv1 zn&{zk`vK}?cE7qGV362^hx-9K$cpwi{Q#?Eh5Z`Vaa&z-7(h=~DmlZdr3w?prr|VO z^}$dW^cl-(H08TKdd)oT{ov=G?7<)Wx2-qstK6qsHLD4Fn$m%59$vKFjOO zgQ0GQ{9Ilm{y7sC1Tr5C#yH9IeQH^>JNJj9vBA&Dw320EFsz*;8vbmul8In29OYd- z-v^@4ne#wCg7fB9Nr`dpZRLBvq^}z5t9YsVoL}8O=dQXmy>t{zd(UZv)#MWRw)fB1 zQlzCg-^N~Q3MS#7<2mzl7Lq@!1!FZgK3UA@M<$1cG6lVuy*I=EC}7{u_15*z(}>-% zM{=QG{O$2y3Ko|k`8@e~z1yvb;C$Kzh@R_{c%?nUF$0k5}H+ zE}!$;@IiuY9-UV)2aAI!&i=r?itVg5gNV=J^?DWi(^n6W@V_drpz{^Urv7K=eSq0g zDXf?5YTc@sx4>Mb_j~f-e%>M9&fcW3SF#pCfD%JyL8PMtB zZ>{L;trgJqx@kpX-MZ7NHLa@2!3JifP~T*^qhd9hhf1KIiiCBeX;<||Yi7nejRXm*#&s~SR2G{rcXgL#ff4HBbLf0TWgw5-L9}uRW) z_fF-JKv#MB<{77~*n=iKEGG$k*My)K2l|tB6#IsC8#vB@Lo{WWY6-FM4d1i#N z`6u@ex8!?>nDZ}xPU%|~Z&s4u?m1zx@#L?TxN(r&N zhD^qw>lN!b&rqQoy2YM56l14w#8tH{61oM)c68pcN(~`2miR_@bA=2>X#*4_h2Uh6 zn!!&oy*VmU((##4Y#Oz);gm5GA7;)X{ZTZdCkeXVupTgVdj{=Y=>$4FRg0ND)y^>) z6z3b6)4>79wM}6J)k$U*BRH@>UhY?qb8NsenWdvs7eMRqL`6kzJH@fC(XII44X;NI~X&!J$*Ap+xSs zYTRh}N{(6PDRg9{6XT@>Rj1(HQl}NSCxqOfQ>2*KKnhJljrY+@p(=W#=&PGn5pyD{ zU10Ke6R^if84je(6C7C79II*OO~=pQ5UJxtH(0ft za8;!N%Z;Zq=y1)MMi~u9t6@6mzAG~E=ge~*l99s1kUlgyItsc!R}ktMGmypkhPS%9 zeqTl(DP)F5^kaq0&?xC2vu`ZVrC<1Lz($RxSu4%ULgE6ZM#c-1MS{)sqGH^fvV?w0 z*IccVIXG22k9sb>(qjb-8!Myjs#X9hlW~Lov`I;M7gKhvW|o>0c6zj!vMUv{#Byco zG{+H(A?e2(4bRz{&*p=Yomp+fx*`%ft8nhL+)ZGSG)s)?^5#x>ksk|ZJpR?es5#SYBYvJ8RVBig zc)k;Jb!ci>PZ@ReZAo3mXxctitJnrQx7>p>PUp-DMe3N=9jdnqZ&z%BuC{U zC3e|oGsO|%1|z9a++=486Zhz-qoz|V**>R>aW`Sx6-8~>CeF=I8#LxhRn#z=hg9g0 zXZbQ!i9&JIm@_Pv=YR(zJ!MhO#4H?HmN7Cch_EdLgMhAAt(xuVc0+Y70+^K@jMPVE znHGuSScE*#v}n!N@^+)y&a)B<;;NN&K;^`ji%&9>5~|_mL*)s?g(?w?p3W90#tVF_ z>l!9s{#Buqk@VD%U2|2JcL_&4mN(rU*}W`rbFgEQxU0v*Mcwnyb*pO3n)-30!5ld@ z7H+af&KJqc$|_7amQlm>^B&{U0ifx11viSTBkReoG2cFtmSA^pr$nEaRMqifCIC

EXg^n9kka&?cTG7_gEfPllH7*aM_MIdPdXAie){uZM)=FE*zgCNXLL%6OO zh4i;e*|nx&)lh?cYY*EXwCg?NFk5RhofgU)o+g&1jvAAw6o{h2MchO%2=ZRC`O9Af*F+cEj++? z8Or$3$bhJqs+fkuI*J}ZL(V2{fmHsBP3Dm^xk!V{a$L(*R{SNL6hJ;!%)6^LYlVlk za+8QT?B!pJ$rj$}0jq_B?bwx|y-<`^4!hS>NE_Ek9_pqWQAHfwC!_HR29p}an5J97 zq0!{naHc@WqTqdd5(KfMRrhc);1o!Ik`XBw)w-DE+~nkpT5HBA;hx56;K7@pZ{cIz ztyq|$if!N-Vw)L0G{q#ExnHTCckH_9H0O^Pa(bkVDmymh{&02-L)@-O5Ibuw6Hna9 zN@ZfcZc>^|ZRAp%L{W43d2XFYkIUH=du4p%3Z0YGsC3mErG+1ZJ#tp&68%O z&Cscn&n2F$*ZG-(#|Ar?g`U2#JJBfA_C30!6)>Cv#<1+sEAcq^J@Yo^n*4ALdl z`bkYwjJ~QIifC9X_Y9PZl$A*)#k~{hL@6lP!YN%##&O{^_hZ~)PT96oZs6?{7sS@4 zN$yzlZqC^bk5DVMvb7nT^itW-MbNI|g$`Wx`?5Vt?j=eC6J z`qbI$!CgCzw+B@wn9nInbv!yT9et)^%r<=H3daur#6dZ}C6Nj4I_t?+(^ldgTyWxV z*}NfjcOcBH;kK|?;ek1K)Y;((8BnHVJ$M&@jU^gBt7Nu~=`mMCj3U}Z2d-*xLhIfs4Jtg)r*)Sbx#N3mN6Q)x$ zEBs7cnJ{epcvzW;A|j76Y2b8Zyx=;Mk@QraN8c2FMBne>s?T)SGcwe`;q-B@nsJsQ z>8S~`G*`3kZ@D9*Sp7`xWX_;xnXZ-egs=3@i|~=oF=>)zjr6jZ8OD38q)8;r!>Vky zumdV@)m3H;H#u|j&AF=Fsqor?x#gV`vOO|oIyWV~wZPz_#E-PB3JWrG+n`>Y@D8T< z6cql&u;H*%9M(V>&YHoGJU9|pS^0%ueo;&bGb#)UoN24kv>krPuarjID>uGP4L23? z@~n^Qa85a@@=PgT|9o|)hLyUkj0|5clPpdaGRd*@kxY_~BI8{6wL?=$Qy!VIpn~ub zm98+3@kmvTMazIo-ads+E2BS>O>fYenM}X!mFFFB_RDDQLKaYhz{OBy!A1MU1xD8L ze6o0lZnN~xF#T0UKMk@z=W;5Bet7Ash zBmq#p}XSonAuCw6s=hSQ{f$`KRDbWC3Sm#e8NB1H++3B#x#!*sV+lZ__& zNh!O^=e9U>QeCC?4vcT16AQ3PpGl_eT88lvX;J;6ly?+-_|Z?7O#(!O1y#41bhZsA z3&W$Xs;VR770lBMU@=6aQTs;S;jqtQTN!nuQQo;01~vip2m ziLi$vD>k_KY+4=`Dn;B(;$Km?p$MhNt|z8=XYQnN9RHnLhAd}R?DG7O?NnP87Ek4p F{(mFGLBRk3 literal 0 HcmV?d00001